iverilog

Basic Usage

iverilog -o hello hello.v
vvp hello

The "iverilog" and "vvp" commands are the most important commands available to users of Icarus Verilog. The "iverilog" command is the compiler, and the "vvp" command is the simulation runtime engine.

Official Documentation

Last updated